注册账号

找回密码

设置密码

绑定手机号

注册领取新人学习津贴
首页 >

专升本 > 考试大纲

> 五邑大学2020年专插本数字电路与逻辑设计考试大纲

五邑大学2020年专插本数字电路与逻辑设计考试大纲

2020-02-24 16:48:28 来源: 库课网校 阅读: 1536 编辑: 李老师

库课网校

  2020年准备参加广东专插本考试的考生请注意,考生如想在考试中取得优异成绩,前提要对广东专插本考试大纲,今天库课李老师主要给考生整理了五邑大学2020年专插本数字电路与逻辑设计考试大纲?希望对考生有帮助。

  五邑大学2020年专插本数字电路与逻辑设计考试大纲

  Ⅰ考试性质

  普通高等学校本科插班生招生考试是由专科毕业生参加的选拔性考试。高等学校根据考生的成绩,按已确定的招生计划,德、智、体全面衡量,择优录取。因此,本科插班生考试应有较高的信度、效度、必要的区分度和适当的难度。

  Ⅱ考试内容

  总要求:

  《数字电路与逻辑设计》是信息工程学院开设的一门专业基础课,是院级平台课。《数字电路与课程设计》课程考试旨在考察学生对本课程的基本内容、基本要求及基本应用掌握的深度和广度。要求熟练基本逻辑运算和门电路、逻辑函数的表达方式及化简、组合逻辑电路的分析和设计、时序逻辑电路的分析和设计、了解存储器及其扩展、数模和模数转换原理等,为后续专业课程的学习和设计打基础。

  一、考试基本要求:

  1. 熟练掌握数字电路的组成、分析和设计;

  2.了解一般简单数字系统的工作原理、分析和设计。

  二、考核知识范围及考核要求:

  1. 逻辑代数

  (1)了解逻辑代数的定义及运算公式和规则;

  (2)掌握逻辑函数的表示方式及其相互转换;

  (3)熟练掌握逻辑函数的化简方法,包含公式法化简和卡诺图化简;

  2. 集成门电路

  (1)了解集成门电路内部电路的组成、传输特性、参数;

  (2)掌握集成门电路扇出系数的计算、输入端带负载特性,特别是TTL门;

  (3)了解TTL门和CMOS门的接口电路及参数计算。

  3. 组合逻辑电路

  (1) 了解组合逻辑电路的概念;

  (2) 了解集成逻辑门的功能表、逻辑符号;

  (3)熟练掌握利用门电路及集成门电路芯片构成的组合逻辑电路的分析和设计,特别是译码器(重点是3线-8线译码器74HC138)和数据选择器(4选1和8选1),实现逻辑函数。

  4. 触发器

  (1)了解基本RS触发器和时钟触发器的构成、符号、逻辑功能、及特性方程,特别掌握边沿触发器;

  (2) 熟练掌握给定时钟脉冲和输入波形情况下,画出触发器电路输出端的波形。

  5. 时序逻辑电路

  (1)了解时序逻辑电路的概念;

  (2) 熟练掌握同步时序逻辑电路的分析;

  (3)熟练掌握同步逻辑电路的设计;

  (4)熟悉集成计数器芯片(特别是74HC161和74HC160)的功能表、逻辑符号;

  (5)熟练掌握由集成计数器构成任意计数器的方法。

  6. 半导体存储器

  (1) 了解半导体存储器的分类、构成及容量计算;

  (2) 掌握存储器的位扩展和字扩展。

  7. 脉冲波形的产生

  (1) 了解555定时器的组成及工作原理;

  (2) 掌握555定时器的应用,即由555定时器构成的施密特触发器、单稳态触发器和多谐振荡器的工作原理、参数计算和输出波形。

  8. 模数转换和数模转换

  (1)了解模数转换和数模转换的分类、构成和工作原理;

  (2)掌握数模转换器输出电压的计算

  Ⅲ 考试形式及试卷结构

  1、考试形式为闭卷、笔试。考试时间为120分钟,试卷满分为100分。

  2、试卷内容比例:试卷内容将覆盖全部8章。其中:第2、4、6、7、8等5章所占比例为30-40%,其余第1、3、5等3章章所占比例为60-70%。

  3、试卷难易比例:易、中、难分别为40%、40%和 20%。

  4、试卷题型比例:题型为填空题和综合题,其中填空题约为20~30%,综合题70~80%。

  Ⅳ 参考书目

  《数字电路与逻辑设计基础》(第一版),项华珍主编,机械工业出版社,2016年9 月 第1版。

  Ⅴ 题型示例

  一、 填空题(共20~30分)

五邑大学专插本

  2020年广东专插本考试已经推迟,因疫情影响考试时间也进行了推迟,原定于3月7-8日举行的本科插班生考延迟。对于准备参加考试的考生也不要着急,在这期间也要好好备考,2020年广东专插本视频课程已经更新,考生也可登录库课网校进行观看,在考前也可查找一些有关广东专插本考试资料进行复习,争取考出好成绩。


相关推荐

考试报名

考试时间

优课推荐